Skip to content

Micro Fabrication Facility

Micro Fabrication Facility Equipment

Wafer Cleaning & Wet Etching

acid-bench
Acid Bench

3 wet hoods from Salare Systems in NC for solvent, acid & base etching and wafer cleaning

  • Typical processes

    • NMP resist strip
    • RCA I & II cleaning
    • Piranha resist strip (NanoStrip 2X also available)
    • Chrome etch (CR-7)
    • Tungsten etch
    • Gold etch (GE 8148)
    • Platinum etch (Aqua Regia)
    • Nickel etch (HNO3 + Perfluoroalkyl Sulfonate)

Additional Wet hoods

  • Sulfuric acid, Hydrogen Peroxide (Piranha Strip)
  • Hydrochloric Acid & Hydrogen Peroxide (RCA II cleaning)
  • Ammonium Hydroxide  &  Hydrogen Peroxide (RCA I  cleaning)
  • NMP, Acetone & Methanol (Solvents)
base-cleaning-bench
Base Cleaning Bench
solvent beach
Solvent Bench

Resist Coating & Developing

resist coater
Resist Coater

Resist Coating Coater

  • Semi-automated resist coater with an integrated hot plate
  • 100mm tooling

Brewer Sciences Photo Resist Developer Tool

  • Semi-automated wafer spin developer with an integrated hot plate
  • 100mm tooling
developer tool
Developer Tool

UV Exposure

Nuetronix/Quintel 1X Contact/Proximity Printer

neutronix-quintel
Neutronix-Quintel 1X Aligner

Model Q-7000-IR

  • 365nm exposure wavelength
  • Currently configured for 100mm wafers
  • Mask tooling for 4, 5 and 7” masks
  • Tooling available for 150mm wafers
  • IR back-to-front alignment capable

GCA 5:1 Reduction i-line optical stepper

  • 0.45na
  • 365nm exposure wavelength
  • Critical dimension (resolution) 0.5um
  • Overlay 150nm XBar + 3 sigma
  • Currently configured for 100mm dia. wafers, can expose up to 200mm wafers 0.25mm to 1.0mm thick
  • Customized Tooling for exposing 5” X 5” X  0.150” photomasks
  • Utilizes 5” X  5” reticles
  • Processing of pieces/parts is possible with custom tooling
optical-stepper
Reduction I-Line Optical Stepper

Plasma Etch

plasma therm
Plasma-Therm ICP

PlasmaTherm Versaline ICP

  • Fluorine gases for SiO2 and Si & Ge etching as well as other semiconductor materials
  • Configured for 100mm wafers up to 1mm thick
  • RIE and or ICP modes
  • Primarily used to dry etch SiO2 & Si films and bulk material

Oxford Instruments Plasmalab 100 ICP

  • Utilizes CL2 & BCL3 etch chemistry for metal or dielectric etching
  • Configured for 100mm wafers up to 1mm thick
  • Tool can etch up to 10mm thick wafers with optional tooling
  • RIE and or ICP modes
  • Currently used to dry etch Sapphire & Chromium 
oxford plasmalab
Oxford Plasmalab ICP

Dielectric Conformal Deposition

oxford ald
Oxford ALD

Atomic Layer Deposition (ALD)

Oxford Instruments OPAL ALD tool utilizing the following pre-cursors:

  • Diethyl zinc
  • Tetrakis(ethylmethylamino) hafnium (IV)
  • Titanium(IV) isopropoxide
  • Trimethylaluminum

Metal & Dielectric Deposition

evaporator
CCS-CA-40 Evaporator

Electron Beam Deposition

  • CCS CA-40 e-beam evaporator configured for 100mm wafers

Metals

  • Gold
  • Platinum
  • Nickel
  • Titanium
  • Chromium
  • Germanium
  • Palladium
  • Tantalum
  • Iron

Dielectrics

  • Tantalum Penta Oxide
  • Silicon Dioxide
  • Aluminum Dioxide
  • Silicon Monoxide
  • Titanium Dioxide
  • Hafnium Oxide

Metrology

  • Nikon Optical microscope magnification to 1500X
  • Tencore Alpha Step 200 profilometer
  • Hitachi S-4800 Scanning electron microscope access on-site at Clemson Universities EM facility
  • Filmetrics F20 UV Optical thin-film measurement tool
nikon scope
Nikon Scope
alpha step
Alpha Step

film thickness tool
Filmetrics F20 UV Optical Film Thickness Measurement System
hitachi
Hitachi SEM

Wafer Dicing

dicing saw
K&S Dicing Saw

K&S Wafer Dicing Saw

  • Model 780
  • 200mm capable
  • Cuts silicon, sapphire & optical glasses

Wire Bonding

wire bonder
K&S Wire Bonder

K&S Wire Bonder

  • Model 4525AD
  • Gold wire 0.18um to 76um
  • Man & Auto
  • 152mm X 152mm area

Custom Tooling

Custom tooling fees are charged on a tool-by-tool basis depending on the complexity of design and material needed.